lunes, 19 de marzo de 2018


Flip-Flop in VHDL

This program is an example of Flip-flop implementation in VHDL.
---------------------------------------------------------------------------------



library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity flop is
port (clk: in STD_LOGIC;
d: in STD_LOGIC_VECTOR (3 downto 0) ;
q: out STD_LOGIC_VECTOR (3 downto 0)) ;
end;
architecture synth of flop is
begin
  process (clk) begin
  if clk'event and clk = '1' then
  q <= d;
  end if;
  end process;

end;

No hay comentarios.:

Publicar un comentario

BTFSS INSTRUCTION  IN ASSEMBLER FOR PIC18F In this sample, we show that how to use a switch input to make an action. Remember that I...